Subratcting 1 no std_ulogic_vector?

M

MadFruit

Guest
Hey visiem, es saņēmu mazliet kodu:

<= To_StdULogicVector (neparakstīts (b) - "1");

Ja gan "a" un "b" ir std_ulogic_vector.Kad es izmantot IEEE std_logic_arith paketes, šis kods strādā.Bet man ir nepieciešams, lai izmantotu numeric_std.

Vai ir veids, kā es varu darīt to pašu vienā rindā kodu ar ciparu paketes?

Mazliet n00b es baidos

<img src="http://www.edaboard.com/images/smiles/icon_smile.gif" alt="Smaids" border="0" />
 
Pārbaudiet šo vienu!
Ceru, ka tas palīdzēs!
Kods:bibliotēkas IEEE;

izmantot ieee.std_logic_1164.all;

izmantot ieee.numeric_std.all;

vienību testuosta (

: no std_ulogic_vector (7 downto 0);

b: kas std_ulogic_vector (7 downto 0));beigu pārbaudi;

arhitektūra uzvesties no testu

sākt - uzvesties

<= std_ulogic_vector (neparakstīts (b) - 1);

beigās uzvesties;
 
Teicami, kas strādāja.Nevaru iedomāties, kāpēc es nav mēģināt, ka got pārāk veiktas prom ar to, ko meklē approriate funkciju iepakojumā es domāju

<img src="http://www.edaboard.com/images/smiles/icon_smile.gif" alt="Smaids" border="0" />Mucho apriciated.

 

Welcome to EDABoard.com

Sponsor

Back
Top