XILINX izplatītāju

M

masai_mara

Guest
Var bloķēt izplatītāji var secināt in XILINX no vhdl funkcijas kodu iepakojumā vai moduli?

 
Tajwanska firma MSI ujawnila dwa nowe laptopy oparte na Intelowskiej platformie Huron River - gamingowy GE620 oraz multimedialny GR620.
Obydwa modele posiadaja matryce LCD o rozmiarze 15.6 cala (rozdzielczosc 1366x768 pikseli) i podswietleniu LED. Wspolna cecha jest rowniez fabrycznie...

Read more...
 
Man nav understant jautājums, vai jūs domājat, ka jūs vēlaties, lai atsauktos uz reizinātājs iekšpusē savu moduli vai paketes?

Šajā gadījumā atbilde ir jā.Balstoties uz palīdzības failu 6.3 ISE varat izmantot Multi18x18 jūsu kodu, kā tas ir aprakstīts šeit VHDL:

Īstenošanas Embedded Multiplier Izmantojot VHDL
Kods:Šādas VHDL kods parāda, kā instantiate Virtex-II Pro 18-bit X 18-bit iegultā asinhrono parakstīts reizinātāju.Ievietot šādus starp "arhitektūra" un "sākt" atslēgvārdiem.komponents MULT18X18ostā (

: In std_logic_vector (17 downto 0);

B: in std_logic_vector (17 downto 0);

P: out std_logic_vector (0 35 downto)

);end component;Ievietot šādu pēc "sākt" atslēgvārdsU_MULT18X18: MULT18X18Ostas karte (

=>, - Ievietojiet ievades signāla # 1

B =>, - ievietojiet ievades signāla # 2

P => - ievietojiet izvades signāls

);

 
Es gribēju zināt, vai es varu izmantot funkciju mult18x18 vietā, lai izmantotu tās kā sastāvdaļu.Un tāpat es varu izmantot kodols gen vednis rodas reizinātājs (chosen ar nulles pipelining) kā funkcija, nevis kā sastāvdaļu.Es domāju, ka vajadzētu būt iespējamai koeficients var būt tīra comb loģiku.

pateicība

 
HI,
Jūs faktiski var veikt savas funkcijas un iesaiņojiet to arround sastāvdaļu.Tā padara to daudz vieglāk programmu un lasīt.

BR,
/ Farhad

 
Jā, Jūs varat izmantot * secināt reizinātājs.Ja viens multiplicant ir nemainīga, daži instrumenti secināt kombinatorisks loģiku.

 
"Secināt" nozīmē lietošanai * operators jūsu ABL.Tas ir ļoti ērti un ātri, ja cauruļvads uzmanīgi.

Ja jūs skaidri vieta "MULT18X18", tad jūs instantiating, nav secinājusi.

ABL sastādītājs nav secinājusi.Ja Jūs lietojat XST tad izlasiet XST User Guide -> ABL kodēšanas paņēmienus -> Aritmētiski Operations -> izplatītājiem.

Izlasīt arī par MULT_STYLE in Constraints rokasgrāmatā.

 
farhada wrote:

HI,

Jūs faktiski var veikt savas funkcijas un iesaiņojiet to arround sastāvdaļu.
Tā padara to daudz vieglāk programmu un lasīt.BR,

/ Farhad
 

Welcome to EDABoard.com

Sponsor

Back
Top