Y
yasser_shoukry
Guest
Kā es varu uzrakstīt testa stenda kodu, izmantojot Verilog par VHDL dizainu? Paldies jau iepriekš
Follow along with the video below to see how to install our site as a web app on your home screen.
Note: This feature may not be available in some browsers.
jautājums ir, tas ir līdz pat instrumenti, lai "kļūtu saistošs" DUT (vai jebkuru moduli / vienības / instancē ) vai nu VHDL / Verilog / SystemC utt Protams valodās konfigurācija konstrukcijas utt Bet tas ir tikai vienā valodā jomās parasti. , Piem iepriekš trivial dizainu var atveido VCSMX un MTI šādi:Un ar MTI:Code:vhdlan darbu vhdl_lib vhdl_dut.vhdl vlogan darbu vlog_lib vlog_tb.v VCS-debug vlog_tb-R-l run.log
Code:Vcom darbu vhdl_lib vhdl_dut.vhdl vlogi darbu vlog_lib vlog_tb.v vsim vlog_tb-l run.log [/kods] (NC ir ncvhdl, ncvlog, ncelab, ncsim komandas pašu). Let me know, ja jums nepieciešams vairāk ĪPAŠI palīdzība. HTH Ajeetha, CVC [url] www.noveldv.com [/url]