Steidzami! [Frekvences dalītājs]

J

jianhuachews

Guest
Hi var kāds sniegt man vhdl kodu dalīt ar 50 frekvences dalītāju circuit izmantojot flip-flops? Thanks in adv.
 
Vai jūs google for kodu? Jūs varat atrast kodu frekvences dalītājs tiešsaistē.
 
Šeit ir kods: [url = http://vhdlguru.blogspot.com/2011/03/clock-frequency-converter-in-vhdl.html] VHDL kodēšanas padomiem un triku: Clock Frequency pārveidotājs VHDL [/url]
 
Šeit ir kods: [url = http://vhdlguru.blogspot.com/2011/03/clock-frequency-converter-in-vhdl.html] VHDL kodēšanas padomiem un triku: Clock Frekvenču pārveidotājs in VHDL [/url]
wats up mr. cheater ... @ Jianhuachews: Šeit ir kods (synthesizable): [url = http://www.vhdlcodes.com/2010/08/vhdl-code-for-clock-divider.html] Viss par VHDL kodus, PCB projektēšana un AVR: VHDL kods Clock Divider [/url]
 
@ Jimmy_tag, es neesmu pārliecināts, ka jūs esat redzējuši abas saistītas mājas lapas. starp diviem kods ir atšķirīgs. Piemēram, mēģiniet gan versijās ar plaisu vērtību, kas līdz 2. Tie nav uzvedas tieši tāpat, ar savu versiju outputting 110110110110, un citu ražošanas 101.010.101.010. Jūsu versija varētu secināt, papildus loģika, kā jūs izmantojat mazāk nekā salīdzinājumos, nevis salīdzinātu =. Abas versijas izmantot 1 papildus bitu, turklāt ar Vipin ir iespējams secināt 32b Turklāt visos gadījumos.
Code:
 ja (cnt = 1), tad cnt
 
hey guys var kāds man pateikt, kāda ir atšķirība starp izmantošanu ieee.std_logic_arith.all starpību; un izmantošanas ieee.numeric_std.all;? Arī ... Ko nozīmē tas, ja "if (counter <divide/2-1), tad" turpina no koda .. Es nesaprotu, kāpēc man vajadzētu darīt, lai to izdalot ar 50 freq dalītājs ..
Code:
 if (rising_edge (CLK)) tad if (counter <divide/2-1), tad counter
 
programma
Code:
 bibliotēkas IEEE, izmantot IEEE.std_logic_1164.all, izmantot IEEE.numeric_std.all, uzņēmumam lab3C ir ports (CLK, nreset: in std_logic; output_clk: out std_logic; divide_value: ir vesels skaitlis); beigām; arhitektūra uzvedība lab3C ir signāls skaitītājs, izdaliet: vesels skaitlis: = 0; sākt dalīt
 

Welcome to EDABoard.com

Sponsor

Back
Top