P
pjyc
Guest
HI tur. Man ir 80Mhz pulksteni par manu sistēmu. un mums ir nepieciešams, lai saņemtu 26.666Mhz no 80Mhz. (80Mhz / 3 = 26.666Mhz) Zemāk pirmkods ir daļa no 26.6Mhz pulksteni generater. Mēs esam ieguvuši labu rezultātu ar simulatoru. bet reālā sistēma nebija labi. Vai ir kāds, kas var ieteikt anyting ar to darīt? thanks.
Code:
bibliotēkas IEEE, izmantot ieee.std_logic_1164.all, izmantot ieee.std_logic_unsigned.all, uzņēmumam clk26M ir osta (CLK: in std_logic; outclk: buferis std_logic); end clk26M, arhitektūra P1 clk26M ir signāls skaits: std_logic_vector (2 downto 0); signāls div2: std_logic; signāls div3: std_logic; signāls dlydiv3: std_logic; sākt - Dalīts 2 process (CLK) sākt ja (clk'event un CLK = '1 '), tad div2