Izaicinājums Problēma VHDL Dizains: Parole Uzbrucējs

G

govandi999

Guest
Šajā dizains, mums ir, lai attēlotu uzvedību paroli uzbrūk mehānisms (bruteforce), rakstot uzvedības VHDL kodu. mehānisms Mums ir ievades "dati", kuru lielums 8-bit. Parole būs kaut kur starp 00 līdz FF (hex). Mēs noteikti ieejas at teikt 1C (HEX). Uzbrukums sākas no šīs ieejas teikt 1C, un tas būs skenēšanas caur pat FF. Mums ir cits ievade "START". Tas nozīmē, ja START = 1 ", tad parole uzbrūk sākas. 8-bit parole jau ir saglabāta mainīgo iekšā kodu. Ja sistēma atrod pareizo paroli, tad tas būs neapstāsies šo numuru. Ja ne, tad tas apstājas pie FF (hex). Ja kāds var uzsākt dizainu šo problēmu. Pl. post to šeit
 
Pārbaudiet šo vienu! [Kods] bibliotēkas IEEE; izmantot ieee.std_logic_1164.all, izmantot ieee.std_logic_arith.all; vienība passwd ir osta (CLK: in std_logic; rst_n: in std_logic; dati: in std_logic_vector (7 downto 0); sākums: in std_logic; pass_wd: ārpus std_logic_vector (7 downto 0)); beigas passwd, arhitektūra izturēties ar passwd ir signāls pass_word: std_logic_vector (7 downto 0): = to_stdlogicvector (x "AB"); signāls pass_cnt: std_logic_vector (7 downto 0); signāla start_d : std_logic; sākt - uzvesties process (CLK, rst_n) sākt - process, ja rst_n = '0 ', tad - asinhronās reset (aktīvās zems) start_d
 
Es domāju, ka jūs nokavēju stāvokli, kad (start_d = '0 'un sākt = '0'). Lūdzam izskatīt šo nosacījumu, kā arī, cits mašīna sāksies uzreiz pēc reset. [Quote = nand_gates] Pārbaudiet šo vienu! [Kods] bibliotēka IEEE; ...... ja (start_d = '0 'un start = 1 "), tad pass_cnt 1")), tad pass_cnt
 
Es mēģināju palaist VHDL kodu, kā paredzēts mūsu draugs, bet tas dod kļūda sintēzes (apkopojot) [color = zaļa] signāls pass_word: std_logic_vector (7 downto 0): = to_stdlogicvector (x "AB"); [/color] to_stdlogicvector ir divas vai vairākas iespējamās definīcijas šajā jomā. Piemēram, parametrs 1 (virknes vērtība) var būt: std_ulogic_vector vai BIT_VECTOR [color = # 444.444] elsif (! Pass_cnt = passwd vai pass_cnt = (pārējie => 1 ")), tad [/color] parsēt kļūda, negaidītu EQ
 
Šeit ir koriģēta kods. Lietošanai skaidri slēdzis Vcom [kods] bibliotēka IEEE, izmantot ieee.std_logic_1164.all; izmantot ieee.std_logic_unsigned.all; vienība passwd ir osta (CLK: in std_logic; rst_n: in std_logic; dati: in std_logic_vector (7 downto 0) , sākums: in std_logic; pass_wd: no std_logic_vector (7 downto 0)); beigas passwd, arhitektūra izturēties ar passwd ir signāls pass_word: std_logic_vector (7 downto 0): = "10.101.010" signāls pass_cnt: std_logic_vector (7 downto 0); signāla start_d: std_logic; sākt - uzvesties process (CLK, rst_n) sākt - process, ja rst_n = '0 ', tad - asinhronās reset (aktīvās zems) start_d
 
Kaut parole ir bez kļūdām tagad. Bet tas nav jādara, kas ir vajadzīgs kā vienu problēmu paziņojumu. 1. Tā doesnot sniegt jebkādu produkciju. Piemēram, ja parole tiek atrasts kāds ir no izejas pass_wd.If yourun ar curent VHDL kodu vērtība, tas doesnot sniegt jebkādu Rezultāts 2. Arī sākotnējā vērtība `uzsākšanai ir 1C, tai vajadzētu sākt skenēšana no 1C un turpinās līdz tā atrod paroli, ja tā konstatē, ka parole, tai jādod izejas vienāda ar paroli citam tas būtu jāturpina skenēšanas līdz FF. Pl. iekļaut šīs izmaiņas kodu. Pārējais ir OK.
 

Welcome to EDABoard.com

Sponsor

Back
Top