S
senthilkumar
Guest
Hai. Es rakstīt kodu, piemēram, šo bibliotēku IEEE, izmantot IEEE.STD_LOGIC_1164.ALL, izmantot IEEE.STD_LOGIC_ARITH.ALL, izmantot IEEE.STD_LOGIC_UNSIGNED.ALL - Uncomment šādas rindas izmantot deklarācijas, kas ir - paredzētas instantiating Xilinx primitīvas sastāvdaļas . - Bibliotēka UNISIM, - izmantot UNISIM.VComponents.all; vienība vga ir Port (clk_raw: in std_logic; vsync: out std_logic; hsync: out std_logic; r: out std_logic_vector (1 downto 0); g: out std_logic_vector (1 downto 0); b: out std_logic_vector (1 downto 0)); end vga, arhitektūra Uzvedības no vga ir nemainīga CounterXMax: INTEGER: = 767, - nemainīgu CounterYMax: INTEGER: = 31; signāls clk_div: std_logic_vector (1 downto 0) , signāls CLK: std_logic; signāls CounterX: std_logic_vector (9 downto 0); signāls CounterY: std_logic_vector (9 downto 0); signāls vga_HS: std_logic; signāls vga_VS: std_logic; sākt process (clk_raw) sākt ja (clk_raw "pasākumā un clk_raw = '1 '), tad clk_div